半導體基礎系列 (3) 半導體行業的改朝換代

秦王嬴政於公元前221年攻滅六國,統一諸夏,建立了中國歷史上第一個中央集權的大一統皇朝。公元前207年,劉邦攻入關中,秦王子嬰開城獻降,秦朝滅亡。(參考 Wikipedia)

歴史巨輪之下,國家朝代會更替。在科技世界,類似的轉變亦不例外。

* * * * *

上回提到5G+AI+Cloud的臨界點,為半導體行業提供增長機會,有實力公司已經一早洞悉先機,把握轉變為契機,做出可觀成績。

中美關係緊張,貿易戰加上科技戰,半導體成為媒體上的熱門話題。去發展科技而缺乏半導體技術,等同建屋在浮沙之上,這個成為普羅大衆都認識的知識。

未來的半導體行業,理應值得有一個更被重視的國際戰略性地位,很多新趨勢已經形成。

晶片生產設備

晶片製造靠著微影技術,不斷提升運算能力和同時做到更省電。工欲善其事,必先利其器,ASML 的EUV光刻機成為晶片先進製程中不能缺少的工具。光刻機由過往的DUV過渡到現在的EUV,現階段ASML擁有着絕對的壟斷性優勢。在DUV有約80-90%市佔,在EUV更達到100%市佔是獨市。

ASML 的一部EUV光刻機像一間房般大,售價約一億美元。客戶是晶片製造公司,例如:Intel, Samsung, 台積電,中芯國際。但是ASML產能有限,不是有錢就可以立即買到,一般訂單可能要等一年或以上才可付運。已經售出的光刻機,客戶會年年付上保養維修費用,為ASML提供持續收入。

ASML是荷蘭公司,在1984年由Advanced Semiconductor Materials International (ASMI) 和Philips飛利浦合資而成立。所製作光刻機,內裏用到美國的技術,早前美國有施壓令ASML不輸出光刻機到中國。

晶片製造公司仍然在發展先進製程,如軍備競賽,EUV光刻機需求會保持強勁,是炙手可熱的器材。

晶片設計

在AI發展上,電腦運算能力的需求正在以幾何級數式的增長,摩爾定律(Moore's Law) 成為半導體發展上其中的一個瓶頸。Nvidia 看準難得機會,利用Nvidia GPU+CUDA 建立出一種生態系統的效果,成功搶佔AI市場,成為市佔的龍頭。相信現在只是在AI進入爆發性發展的入口。(參考文章:Nvidia : I am AI)

在個人電腦(Personal Computer)和伺服器(Server)晶片市場,過去AMD做得不亮麗,而只可以做到價錢較平的賣點,Intel才是這兩方面的大哥,是長期壟斷。但近年AMD 靠更高效能CPU,已經開始打破Intel 的壟斷性局面。Intel在先進製程的發展多次延遲,未能滿足市場的需求,間接幫助了AMD。由於過去AMD市佔低基數小,此發展令公司增長空間很大。2020年初Amazon 十大暢銷CPU 全是AMD。

在過去五年的股價,Nvidia增長了約16倍,AMD增長了約36倍,兩間公司的PE可以高達100附近不是沒有理由。

近年加入做晶片設計的公司及其產品,例如:

Apple 的Apple Silicon M1
Microsoft 的SQ1
Amazon 的Graviton2
Alphabet 的TPU
Tesla 的FSD (Full Self Driving)
阿里巴巴 Alibaba 的含光800
華為海思 HiSilicon 的麒麟980

Apple不是造手機和電腦?Microsoft不是造Windows和MS Office?Amazon不是做網購和Cloud?Tesla不是造電動車?.....

一批原本不是做晶片設計的科技公司,走上晶片設計的路,看公司數目之多,不是偶然的單一例子。隨著系統越來越覆雜,AI又大大加大運算能力的要求,自家設計晶片可以令Software和Hardware更加closely integrated如度身訂造,公司增加在晶片的自主控制權。可以用上SoC (System On a Chip),令以往用上不同功能的多種晶片放進單一晶片之上 (Apple Silicon M1採用此設計),有效減低數據傳輸時間。以上已經是一個趨勢,相信會有越來越多有實力科技公司採用自家設計晶片,而減少在市場採購現成的晶片,目標是造出更好的產品。(參考文章:APPLE SILICON 的想像空間)

Arm架構CPU的原先發展在流動裝置 (Mobile Device) 包括手機和平板,做出效果十分成功,可以說是業界的標準。 用了精簡指令集(RISC),達到省電的優點,對於需要充電裝置有助加長續航時間,取捨之下降低CPU運算能力。Arm架構CPU末來在物聯網IoT (Internet of Things)的發展,相信都會保持優勢。 

一直以來Intel 是個人電腦和伺服器晶片市場的龍頭,兩個市場原本是Arm架構CPU的未得之地,不過以上Amazon Graviton2和Apple Silicon M1正顯示出Arm架構CPU提升運算能力的事實,代表Arm架構CPU已經向Intel (X86架構CPU) 宣戰,戰況會越演越烈,最終有機會由Arm架構統一天下。(參考文章:NVIDIA收購ARM, 背後想甚麽)

晶片製造

上面提到Nvidia和AMD做到突破性的發展,以及加入做晶片設計的一批科技公司,這個趨勢的出現只是故事的一半。它們可以完成最好的晶片設計,但沒有設立自己的晶圓廠,因為入門的門檻實在太高,資金/時間/經驗都是重要考慮。唯一選擇就是使用代工生產。

它們使用的晶片代工生產商就是台積電。

晶片代工生産模式是由台積電發明,台積電現時在全球晶片代工生產市場市佔超過50%,等於所有競對手加起來的總和都不及它。台積電現已擁有世界最頂尖的晶片生產技術,而且在先進製程上不斷以大約兩年一代的速度推進。近年的經驗說明,每個先進製程的時間表都能兌現。

Intel 核心業務是一條龍式,同時負責晶片設計和生產。

Samsung都同時有做一條龍式和代工生產,不過代工生產的環球市場的市佔不高,只有10幾%。公司有目標末來在晶片代工生產市場超越台積電,獲得韓國國家層面支持。

2018年8月,Global Foundries 宣佈了放棄投資7 奈米及以下先進製程,因為過去發展先進製程的經驗,不能做到快人一步,等同處於捱打狀態,是否可以賺回投入於研發的資金都是問題。Global Foundries的決定是誘因導致AMD的代工生產訂單轉向台積電。

中芯國際 SMIC 在晶片生產技術上未能做到最高端,而且要發展先進製程需用的EUV光刻機,是依靠ASML提供,因為美國設下的輸出半導體技術的限制,中芯國際的前路仍然困難重重。

改朝換代

Intel乘着90年代個人電腦的興起,Intel CPU+Windows成為個人電腦的標準,大家對Intel Inside的label不會感到陌生。到2006年Apple Mac電腦都轉用了Intel CPU。在伺服器晶片市場,Intel亦有大約九成壓倒性市佔優勢。Intel就是靠着實力達到世界級壟斷性地位。

冰封三尺,非一日之寒 。隨着時間推演,Intel一次又一次,沒有把握市場出現的機會,連番失誤,市場的轉變成為競爭對手的成長契機,同時就是自己的危機: 

  • 過去十年智能手機的普及,Arm架構CPU成功被打造為業界的標準
  • 近年AI的發展令Nvidia有機會靠著GPU+CUDA搶佔市場

  • AMD利用高效能CPU漸漸食下個人電腦和伺服器晶片市場的部分市佔
  • 近年Intel在晶片生產先進製程的進度每況愈下,多次承諾的時間表不能兌現

  • Apple 決定末來Mac電腦使用自家設計晶片Apple Silicon,取代使用多年Intel 晶片
  • 更多科技公司加入自家設計晶片,TSM憑着更高先進製程技術及高良率搶了大部分的訂單,一少部分訂單到了Samsung手中
  • Intel管理層今年更透露,開始接觸台積電,商討使用其代工生產服務,這個如同為競爭對手加力

以上行業有多個趨勢,背後有一個共通之處,就是指向台積電發明的代工生產模式。

台積電專注只做晶片代工生產,令到晶片設計的能力釋放,參與晶片設計的公司有更大發揮,是一種共贏商業合作方式。晶片設計公司專注力做設計,台積電專注發展生產,台績電和參與晶片設計公司一同成長,終於挑戰Intel這個半導體行業的一代巨人。

Intel面對挑戰之大,不是一刻間可以即時扭轉局面,我相信公司的技術和管理正在走下坡,前景不樂觀。但不是沒有方法,例如放棄晶片生產,可說是自斷一臂,有機會靠著專注晶片設計而浴火重生。如此假設,利用台積電的代工生產作為一個平台,與Nvidia/AMD等公司在晶片設計上就可以更加公平進行競爭。另一方面,可能更有助台積電成為背後的下一世代的半導體皇者?(参考文章:台積電,半導體時代的皇者)

以上不是投資建議,只是我對於半導體行業的分享,歡迎大家留言討論。


參考文章

半導體系列 (1) 半導體產業鏈

半導體系列 (2) 半導體時代,這次不一樣,THIS TIME IS DIFFERENT ?

NVIDIA : I AM AI

台積電,半導體時代的王者

Comments

Popular Posts